Microsoft to use Intel 18A process node to manufacture its own chip

Reading time icon 2 min. read


Readers help support MSpoweruser. We may get a commission if you buy through our links. Tooltip Icon

Read our disclosure page to find out how can you help MSPoweruser sustain the editorial team Read more

Key notes

  • The Intel 18A process offers the foundry industry’s first backside power solution.
  • This innovative design optimizes power distribution, resulting in potential performance and efficiency gains.
Intel 18A Microsoft

 At Intel’s first foundry event, Intel Foundry Direct Connect, Microsoft CEO Satya Nadella confirmed that Microsoft will be using Intel’s upcoming 18A process to manufacture one of its own chip design.

The Intel 18A process offers the foundry industry’s first backside power solution. This innovative design optimizes power distribution, resulting in potential performance and efficiency gains. Intel will use ASML’s cutting-edge High-NA (High Numerical Aperture) Extreme Ultraviolet Lithography machines for manufacturing 18A chips. High-NA EUV offers far greater resolution, enabling the intricate patterning required at this microscopic scale.  Intel 18A introduces RibbonFET, Intel’s first new transistor architecture since their groundbreaking FinFETs over a decade ago. RibbonFETs are a type of Gate-All-Around (GAA) transistor, providing better performance and power efficiency compared to FinFETs.

“We are in the midst of a very exciting platform shift that will fundamentally transform productivity for every individual organization and the entire industry,” Nadella said. “To achieve this vision, we need a reliable supply of the most advanced, high-performance and high-quality semiconductors. That’s why we are so excited to work with Intel Foundry, and why we have chosen a chip design that we plan to produce on Intel 18A process.”

Last year, Microsoft announced two in-house designed silicon chipsets, the Maia 100 AI Accelerator and the Cobalt 100 CPU. Since these chipsets are already under deployment, Microsoft may use Intel 18A process to manufacture successors to Maia 100 and Cobalt 100. Intel also confirmed that they are ready to manufacture ARM-based chip designs as part of its foundry business.

More about the topics: intel, Intel 18A, microsoft